Ȩ > °í°´¼¾ÅÍ
Ä«Å×°í¸® ¹ÝµµÃ¼ÀåºñºÎÇ° > ±âŸ
2014³â06¿ù19ÀÏ Àåºñ List
2014-06-19 16:57:47 4186
÷ºÎÆÄÀÏ : Inventory_List.xlsx (36.4 KB) Download: 1068ȸ
¾È³çÇϼ¼¿ä?
¿£ÅÍÄÉÀÌ ÀÔ´Ï´Ù.

¿£ÅÍÄÉÀÌ ÀåºñÀç°í ¸®½ºÆ®¸¦ ¿¢¼¿ÆÄÀÏ·Î
¿Ã·Á µÎ¾úÀ¸´Ï ´Ù¿î¹Þ¾Æ¼­ ¾÷¹«ÀÇ Âü°í
ÇϽñ⠹ٶø´Ï´Ù.

°¨»çÇÕ´Ï´Ù.
Accent Korea/Q200/ADVANTEST/M6741A/HANDLER/AIR PRODUCTS KOREA/CG100/TACM02 LDS/AIXTRON INC/LYNX3, TM/CVD-WSIX/LYNX3/WSI CVD/WSIX/AMAT/COMPASS/PARTICLE INSPECTION/AOC/MAVT-4100/AXCELIS/200ACU/ASHER/ASHING-WSI(EATON)/ASH-MTL_200A(EATON)/AXCELIS/AXCELIS/200ACU/ASHER/ASHING-WSI(EATON)/ASH-MTL_200A(EATON)/AXCELIS/FUSION/Backend/CHIP breaking M/C #1/TECDIA/Laser scribing_Titan #1/Laser scribing_Titan #2/VERTICAL GRINDING /WAFER MOUNT #1/¾Æ·Ð/NTS/GVI160M/GATE VALVE(W/STD ACCESSORIES)/BROKER/D7000/BTU/VIP70N/SMT REFLOW SYST/CARL ZEISS/AXIOTRON/SCOPE/DAN/SCOV8795/Cassett Clean Oven/DAS SCIENCE/TKW-5S/WET STATION/DIGITAL INSTRUMENT/NANOSCOPE III/Measuring/DNS/DNS_80A/SPINNER/Ebara/CMP : FREX300_S/CMP/EPO 222/ELECTROGLAS/EG5/300/DEFECT INSPECTION/EPI/Activation Furnace/°í·ÁÀü±â·Î°³¹ß/MOCVD #1/Thomas Swan/MOCVD #2/MOCVD #3/GEN/GENCORE_A3020/ETCH/GENUS/G7000/CVD/GENUS_6010/GENUS_7000/GENUS/LYNX3/CVD/GIPC/L3200/ASHER/GAMMA/M435/GSI/GSI/M430/
LASER REPAIR SYSTEM/Heidon/SURFACE PROPERTY TESTER;14DR;/Á¢Âø·Â, ÀÎÀå, ¸¶Âû, ¸¶¸ð µî Ç¥¸éƯ¼º ºÐ¼®/HIT/SPECIAL/HITACHI/FB-2000#1/FIB (focused ion beam)/HD-2000/ANALYSIS/HD2300/IS2700SE/DEFECT INSPECTION/RS3000/CD /LTX_CREDENCE/SEM/S9360/METRO/ATS125/IMS/1474FP/BOND/KSPIN8/SPINNER/SWITCHING MATRIX/TESTER/KLA2552/W/STD ACCESSORIES/KLA5200/METRO/
AIT UV/DEFECT INSPECTION/ES30/ES31/INS3300/DEFECT INSPECTION/kla2608/KLA5010/KLA5200/MRW200/OVERLAY : ARCHER10XT/KLA-TENCOR/OVERLAY/SFS6220/DEFECT INSPECTION/PARTICLE INSPECTION/SFS7600/SFS76XX/KOKUSAI/DD-823V/Furnace/DJ_823V_8F/DIFFUSION FURNACE/FURNACE(DIFFUSION)/DJ-823/DJ-823V-8BL/Furnace/DJ-853V-8BL/DJ-853V-8BL3/KE_DJ823V-8F/FURNACE/Kyeongsung/(DIFFUSION)/KE_DJ853V_8BL/KOSES/SOLDER BALL ATTACH : KAM750PRS/SOLDER BALL ATTACH /KOYO/M300/FURNACE(OVEN )/S/M-05/XD CARD HOUSING/LAM/E4528/ETCH/E4528-OX/ETCHER(DRY )/STAR - 2300 Versys (2C)/ETCHER/LEICA/ERGOLUX 200/EDS BUMP/ERGOLUX AMC/
ERGOLUX200/PHOTO/MIS200/PARTICLE INSPECTION/REICHERT POLYVAR SC/WETZLAR GERMANY/CDP/ANALYSIS/LRK/STAR/
REVIEW STATION/KLA2370/OVERLAY/TESTER/LEO/LTA/LIFE TIME MEASUREMENT/EPD Inkjet Printer/LG PRI/LOGI_TECH/FUSION/MATTSON/
ASPEN_3/CVD/ASPEN3/METAL/Lift off M/C/¢ßSVS/RTP#1/´º¿µ¿¥¿¡Å©/RTP#2/MOSAID/BITMAP TESTER : MS4205/BIT MAP TESTER /MS3490/
ANALYSIS/MRSI/MRSI-503M/FLIP CHIP DIE BONDER/NANO/9010B/FAB MEASURE/9010B(Mask & Wafer Inspection)/FAB MEASURE/NANOMETRICS/
AFT210/THICKNESS MEASUREMENT/EDS MEASURE/2005I9C/NRM3300/OVERLAY/NSR 4425i/NIKON/STEPPER/NSR2005I9C/STEPPER : NSR2205I11D/STEPPER :NSR200519C/GAMMA/2100/NOVELLUS/ASHER/PDL./CVD/PEP3600C/ASHER/NOVELLUS(VARIAN)/M2K(M2000/8)/OPTONICS/EMISCOPEII/OSUNG LST/OS-THB01-C10W/TEMP&HUMID TEST  CHAMBER/Hot plate/AS ONE/TESTER/FM-ARS9000/Plasma/BMR HIETECH (RIE)-ICP ETCHER/BMR(ž¿£Áö´Ï¾î¸µ)/BMR ICP ETCHER (RIE)/Cograde RF3000/Femto Science/ICP Etcher (RIE)/PECVD/PLASMA ASHER/Diener electronic/VHX PECVD/PSC_456_AVL/PSC/DRY ETCHER/ULTIMA3/ASHER/PSK/TSL3000/ETCHER(DRY )/
CAS-II/PSS/CAS-II/½Å¼º ENG/HiEtch ICP Etcher/BMR(ž¿£Áö´Ï¾î¸µ)/OVEN(PSS)/»õÇÑENG/Çö¹Ì°æ(PSS_photo)/NIKON/PTC/PGS 237/Gas Scrubber/
INK MARKING M/C/QC/KIT/SEMI AUTO PROBER (P6800)/TSE/WAFER INSPECTOR(LED¿Ü°ü°Ë»ç±â)/IMS/QUESTER TECHNOLOGY/APT6000HP/CVD/
RIGAKU/SYS_3630/DOSE MEASUREMENT/XRF_3630/XRF MEASUREMENT/CONCENTRATION MEASUREMENT/Rucker and Kolls/683A/Probe station 3/
RUDOLPH/AXI-S/DEFECT INSPECTION/SAMCO/Reactive Ion Etching System/ETCHER/RIE-10NR/SAMCO/RAD-3000/SECRON/Tape Mounter/
SEMES/Lozix/LITHOGRAPHY IMMERSION/LOZIX8/FAAST300/SEMILAB/Shibuya Kogyo co./SBM362/SOFETX/SFX-125/X-RAY MONITOR SYSTEM/
SPW-3000/SPW-3000/BACK SIDE COAT/SSEC/3300/SSP/2M WLM/SUSSMicroTec/ABC200/WAFER
BONDER/TEL/@808SC/FURNACE(DIFFUSION)/
A808SE/DIFF/ALPHA_808SC/FURNACE(DIFFUSION)/ALPHA_808SCN/DIFFUSION FURNACE/ALPHA-808CN/Furnace/A-8S-ZD/ME-450II/
DRY ETCHER/P-8/PROBER/STRESSGAUGE/RS MEASUREMENT/TEL_A808S/FURNACE(DIFFUSION)/TEL_A808SC/TEL_A808SE_FTPS/UW300Z/WET STATION/WET Bench /TEMPTRONIC/TP04000A_2B21_2 /Thermo Streem/Blue Tape Ring Mount/P7000 FULL AUTO PROBE #1/TSE/P7000 FULL AUTO PROBE #2/P7000 FULL AUTO PROBE #3/P7000 FULL AUTO PROBE #4/P7000 FULL AUTO PROBE #5/P7000 FULL AUTO PROBE #6/SORTER #1/SORTER #2/SORTER #3/SORTER #4/ASM(Á¦¸ð½ºÄÚ¸®¾Æ)/SORTER(DL) #10/SORTER(DL) #5/SORTER(DL) #6/SORTER(DL) #7/SORTER(DL) #8/SORTER(DL) #9/UV CURING M/C/¾Æ·Ð/Dynatex/WAFER EXPANDER #1/WAFER EXPANDER #2/ÇÑ°æ/WAFER INSPECTOR #1/WAFER INSPECTOR #2/IMS/WAFER SCANNER  #2/WAFER SCANNER  #1/ASM/WAFER Àü»ç M/C/¼º¸²ÇÏÀÌÅØ/71106L-310DI/TIMEC/TSK/HA3000/WIN WIN 50/ENTRON T5/ULVAC/ENTRON T5/SPUTTER/ENTRON_S/Varian/E1000/IMPLANTER/M2i/VARIAN/YASHIMA/P-5B-S/TEMPERATURE TESTER/YEST/FT-IR MEYIH-8600/CARD EQUIPMENT/YJ Display/CIS_03/CIS_04/¹Ì·¡»ê¾÷/MR3120/HANDLER/¾×¼¾Æ® ÄÚ¸®¾Æ (Accent Korea)/Q8/FT-IR MEASUREMENT/¿¤Æ®¸°/LTRIN200/ÀÌÁî¹Ìµð¾î(IZ Media)/3M WLM/Á¦ÀÌƼ/HW812H/Handler
À̳ëµð½º ¾à¾×¹ëºê Ä«´Þ·Î±×
MN4EX0-C4-R-MT53WE-5-3/¹Í½º ¸Å´ÏÆúµå
¸ñ·Ïº¸±â
ÃÖ±Ùº»»óÇ°/Àå¹Ù±¸´Ï

¿£ÅÍÄÉÀÌ ±¸¸Å´ëÇà

ÃÖÀú°¡/´Ü³³±â Ãßõ

enterk@ssenp.co.kr
031.935.0452
°áÁ¦ °èÁ¹øÈ£
±â¾÷ÀºÇà
607-017205-01-013
ÀÌ»ó±Ù (¿¡½º¿¡½ºÀÌ¿£ÇÇ)
¿À´Ã ¹æ¹®ÀÚ ¼ö
4,627 ¸í